Package perl-Verilog-Perl

Verilog parsing routines

http://www.veripool.org/wiki/verilog-perl

This package provides functions to support writing utilities
that use the Verilog language.

Version: 3.482

General Commands

vhier Return all files in a verilog hierarchy using Verilog::Netlist
vpassert Preprocess Verilog code assertions
vppreproc Preprocess Verilog code using verilog-perl
vrename change signal names across many Verilog files