Package alliance-libs

Alliance VLSI CAD System - Libraries

https://soc-extras.lip6.fr/en/alliance-abstract-en/

Architecture dependent files for the Alliance VLSI CAD Sytem.

Version: 5.1.1

See also: alliance, alliance-devel.

File Formats

al.5alc Alliance logical format
ap.5alc Alliance physical format
catal.5alc catalog file format
ctl.5alc Control Temporal Logic file format.
fsm.5alc Alliance VHDL Finite State Machine description subset.
lax.5alc Parameter file for logic synthesis
pat.5alc Pattern description format
prol.5alc define the rules for symbolic to real layout translation
spi.5alc Alliance parser and driver for Spice netlist.
sxlib.5alc a portable CMOS Standard Cell Library
vasy.5alc vasy VHDL RTL subset.
vbe.5alc This document describes the ALLIANCE VHDL subset for behavioural data flow descriptions.
vhdl.5alc ALLIANCE VHDL Subset
vst.5alc This document describes the ALLIANCE VHDL subset for structural descriptions.