DPGEN_ROM4.3alc - Man Page

4 words ROM Macro-Generator

Synopsis

#include  <genlib.h>

void GENLIB_MACRO (DPGEN_ROM4, char *modelname, long flags, long N, char *constVal0, char *constVal1, char *constVal2, char *constVal3);

Description

Generate a N bits 2 words optimized ROM named modelname.

Terminal Names

  1. sel1 : upper bit of the address (input, 1 bits).
  2. sel0 : lower bit of the address (input, 1 bits).
  3. q : the selected word (output, N bit).
  4. vdd : power.
  5. vss : ground.

Behavior

q <= WITH sel1 & sel0 SELECT contsVal0  WHEN B"00",
                             contsVal1  WHEN B"01",
                             contsVal2  WHEN B"10",
                             constVal3  WHEN B"11";

Example

GENLIB_MACRO(DPGEN_ROM4, "model_rom4_set1_16"
                       , F_BEHAV|F_PLACE
                       , 4
                       , "0xFF00"  /* A string! */
                       , "0xCCCC"  /* A string! */
                       , "0xF0F0"  /* A string! */
                       , "0xAAAA"  /* A string! */
                       );

GENLIB_LOINS( "model_rom4_set1_16"
            , "instance1_rom4_set1_16"
            , "sel1"
            , "sel0"
            , "q[31:0]"
            , "vdd", "vss", NULL
            );

See Also

GENLIB_MACRO(3), genlib(1)

Referenced By

GENLIB_MACRO.3alc(3).

30 July 2004 ASIM/LIP6 Alliance - genlib User's Manual